Publication:
Reducción de la actividad de conmutación a nivel de subpalabra en síntesis de alto nivel

Loading...
Thumbnail Image
Official URL
Full text at PDC
Publication Date
2008
Advisors (or tutors)
Molina Prego, María de Carmen
Editors
Journal Title
Journal ISSN
Volume Title
Publisher
Citations
Google Scholar
Research Projects
Organizational Units
Journal Issue
Abstract
Presentamos un algoritmo de síntesis de alto nivel basado en la potencia, hecho para reducir la disipación dinámica en aplicaciones dominadas por datos. Este algoritmo supera las limitaciones convencionales de los algoritmos de ahorro de potencia, ya que trabaja con la información de la actividad de conmutación a nivel de subpalabra. Nuestro algoritmo usa una nueva representación de la información de la actividad de conmutación basada en patrones para capturar los valores de los bits de los operandos de entrada a lo largo del tiempo de simulación. El algoritmo propuesto lleva a cabo la planificación y asignación de operaciones sobre una base de coincidencia de patrones tomando como ventaja el paralelismo a nivel de datos. Para minimizarla actividad de conmutaciones en las unidades funcionales, permite la aplicación parcial de propiedades de las operaciones aritméticas, la ejecución distribuida de las operaciones sobre diferentes unidades funcionales, y la exploración de diferentes alineaciones de bits en la ejecución de los fragmentos de las operaciones. Estas técnicas de diseño agrandan el espacio de soluciones explorado en comparación con enfoques anteriores, dando lugar a rutas de datos con un menor número de conmutaciones y cantidades de ahorro significantes en el consumo de potencia. [ABSTRACT] A power-aware high-level synthesis algorithm specially suited to reduce dynamic dissipation in data-dominated applications is presented. It overcomes the limitations of conventional low-power algorithms, as it deals with switching activity information at the subword level. Our algorithm uses a novel pattern-based representation of the switching activity information to capture the values of input operand bits along the simulation time. The proposed algorithm performs the scheduling and binding operations in a pattern-matching basis taking advantage of the data-level parallelism. In order to minimize the switching activity in functional units, it allows the partial application of arithmetic operation properties, the distributed execution of operations over different functional units, and the exploration of different bit alignments in the execution of operation fragments. These design techniques enlarge the solution space explored in comparison to previous approaches, resulting in datapaths with smaller number of commutations and significant savings of power consumption.
Description
Master en Investigación en Informática, Facultad de Informática, Departamento de Arquitectura de Computadores y Automática , curso 2007-2008
Keywords
Citation