Publication:
Introducción a la programación en VHDL

Loading...
Thumbnail Image
Official URL
Full text at PDC
Publication Date
2014
Advisors (or tutors)
Editors
Journal Title
Journal ISSN
Volume Title
Publisher
Citations
Google Scholar
Research Projects
Organizational Units
Journal Issue
Abstract
VHDL es un lenguaje de descripción de circuitos electrónicos digitales que utiliza distintos niveles de abstracción. El significado de las siglas VHDL es VHSIC (Very High Speed Integrated Circuits) Hardware Description Language. Esto significa que VHDL permite acelerar el proceso de diseño. VHDL no es un lenguaje de programación, por ello conocer su sintaxis no implica necesariamente saber diseñar con él. VHDL es un lenguaje de descripción de hardware, que permite describir circuitos síncronos y asíncronos. A lo largo de este manual se darán consejos para una programación eficiente en VHDL. Estos consejos son una serie de normas básicas que ayudan a que los resultados de la simulación sean independientes de la forma de programación y el código desarrollado pueda ser sintetizado, y por lo tanto, implementado físicamente en una plataforma, con el mínimo esfuerzo.
VHDL is a language for describing digital electronic circuits using different levels of abstraction. The meaning of VHDL is VHSIC (Very High Speed ​​Integrated Circuits) Hardware Description Language. This means that VHDL accelerates the design process. VHDL is not a programming language, so learn its syntax does not imply learn how to design with it. VHDL is a hardware description language that allows to describe synchronous and asynchronous circuits. Throughout this manual tips for efficient programming in VHDL is given. These tips are some basic rules that help the simulation results to be independent of the form of programming, and help to develope code that can be synthesized, and therefore physically implemented on a platform with a minimal effort.
Description
Keywords
Citation